Author Topic: Siglent SDS1104x-e Scope easy way measure pulse period?  (Read 35 times)

axantas and 1 Guest are viewing this topic.

Offline frank2644Topic starter

  • Contributor
  • Posts: 22
  • Country: us
I have a fixed duration pulse stream and I need to measure the varying period between each pulse. Sometimes hundreds of pulses and most pulses have a slightly different period, sometimes growing larger, sometimes growing smaller.. Okay, maybe I don't have to measure every pulse, maybe just sample some of the pulses to have some confidence all is well.

1) I know I can use the cursors to measure the period of any pulse, but  that gets tedious. Be nice if there was a snap to edge ability for cursors.

2) Alternatively, in the Measure menu under delay there is an FFFF function (Time from the first falling edge of channel A to the first falling edge of channel B.) And you can choose A and B to be the same channel. That seems to measure the period that I need. However it only measures the first pulses captured and trying to get it to measure a different pulse via horizontal controls is also tedious.

If anybody has a quick way to measure pulse period of individual pulses, please give me some ideas.

Thank you,
Frank
 
 


Share me

Digg  Facebook  SlashDot  Delicious  Technorati  Twitter  Google  Yahoo
Smf