Author Topic: Lattice Diamond - Empty Constraint File, no inputs in ECO  (Read 2179 times)

0 Members and 1 Guest are viewing this topic.

Offline DawidTopic starter

  • Contributor
  • Posts: 17
  • Country: gb
Lattice Diamond - Empty Constraint File, no inputs in ECO
« on: June 08, 2017, 12:00:30 pm »
Hi,

I just started with Lattice Diamond and MachXO3. I have following problem:
- how to assign my inputs to the pins? my constraint file which should contain is empty, there is just:

Code: [Select]
BLOCK RESETPATHS ;
BLOCK ASYNCPATHS ;

- my ECO file contains outputs but there are no inputs
- in the Spreadsheet View the Input category is empty, inputs are in: Others->unconnected

I have seen somewhere that the constraint file is generated automatically from the Spreadsheet and ECO. I also cannot move the inputs between groups in Spreadsheet (if this is possible, I don't know) neither assign those to the pins (I can choose only between outputs).

My question is: what am I doing wrong? I am completely new in FPGA and I have no idea how use that IDE (Lattice manuals are mainly useless IMHO)
 

Offline DawidTopic starter

  • Contributor
  • Posts: 17
  • Country: gb
Ok, after a few tries I found that an input is not available in Spreadsheet View/ECO if it is not assigned to anything...

Maybe it will help somebody.

Another question:

where do you look for support if case of problems with Lattice devices?
 

Offline ale500

  • Frequent Contributor
  • **
  • Posts: 415
Re: Lattice Diamond - Empty Constraint File, no inputs in ECO
« Reply #2 on: June 13, 2017, 04:28:34 am »
Quote
where do you look for support if case of problems with Lattice devices?

Online ? Seriously. I assume that there are enough people doing something with the same devices that they may have had the same issue, let's say you get some cryptic error message, I look on the synplify manual and if that doesn't help, happens, I just google it. YOu may not get a direct answer but a starting point to discover what could be happening. Sometimes I post here :)

Some people (in these forums) write that the support (lattice support) is a bit slow, or unhelpful at times... I think I tried once....

Regarding inputs and outputs, physical pins, be sure to declare all them in your top entity, synthesis and mapping work even if you use the same pin number for 2 different signals...
 


Share me

Digg  Facebook  SlashDot  Delicious  Technorati  Twitter  Google  Yahoo
Smf