set_property -dict { PACKAGE_PIN A8 IOSTANDARD LVCMOS33 } [get_ports { sw[0] }]; #IO_L12N_T1_MRCC_16 Sch=sw[0]
set_property -dict { PACKAGE_PIN C11 IOSTANDARD LVCMOS33 } [get_ports { sw[1] }]; #IO_L13P_T2_MRCC_16 Sch=sw[1]
set_property -dict { PACKAGE_PIN C10 IOSTANDARD LVCMOS33 } [get_ports { sw[2] }]; #IO_L13N_T2_MRCC_16 Sch=sw[2]
set_property -dict { PACKAGE_PIN A10 IOSTANDARD LVCMOS33 } [get_ports { sw[3] }]; #IO_L14P_T2_SRCC_16 Sch=sw[3]
set_property -dict { PACKAGE_PIN H5 IOSTANDARD LVCMOS18 } [get_ports { led[0] }]; #IO_L24N_T3_35 Sch=led[4]
set_property -dict { PACKAGE_PIN J5 IOSTANDARD LVCMOS18 } [get_ports { led[1] }]; #IO_25_35 Sch=led[5]
set_property -dict { PACKAGE_PIN T9 IOSTANDARD LVCMOS18 } [get_ports { led[2] }]; #IO_L24P_T3_A01_D17_14 Sch=led[6]
set_property -dict { PACKAGE_PIN T10 IOSTANDARD LVCMOS18 } [get_ports { led[3] }]; #IO_L24N_T3_A00_D16_14 Sch=led[7]
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
entity adder_test is
port (
sw : in STD_LOGIC_VECTOR (3 downto 0);
btn : in STD_LOGIC_VECTOR (3 downto 0);
led : out STD_LOGIC_VECTOR (3 downto 0)
);
end adder_test;
architecture Behavioral of adder_test is
component full_sum
port (
cin: in std_logic;
a, b: in std_logic_vector(3 downto 0);
sum: out std_logic_vector(3 downto 0);
cout: out std_logic;
propogate: out std_logic;
group_gen: out std_logic
);
end component;
begin
gen : full_sum port map ('0', sw, btn, led);
end Behavioral;
All the inputs and outputs on full_sum have this error.