// //

EEVblog #636 – FPGA Demo Boards – DE0 Nano


Dave checks out several FPGA demo boards, and tries out the DE0 Nano and Altera Quartus II software.

Forum HERE

About EEVblog

Check Also

EEVblog 1623 – Electronex 2024 Walkthrough

Walkthrough of the 2024 Electronex trade show in Sydney. Forum: https://www.eevblog.com/forum/blog/eevblog-1623-electronex-2024-walkthrough/ Podcast: Download